Publications

Below you will find a list of my publications and links to download them. Note that they are provided here to ensure timely dissemination of scholarly and technical work. Copyright and all rights therein are retained by authors or by other copyright holders.

You can also view my Google Scholar, and ORCID Profiles

2023

  1. Quantised Neural Network Accelerators for Low-Power IDS in Automotive Networks
    Shashwat Khandelwal, Anneliese Walsh, and Shanker Shreejith
    In Design, Automation & Test in Europe Conference, 2023
  2. Real-time zero-day Intrusion Detection System for Automotive Controller Area Network on FPGAs
    Shashwat Khandelwal, and Shanker Shreejith
    In 34th IEEE International Conference on Application-specific Systems, Architectures and Processors, 2023
  3. Exploring Highly Quantised Neural Networks for Intrusion Detection in Automotive CAN
    Shashwat Khandelwal, and Shanker Shreejith
    In 33rd International Conference on Field-Programmable Logic and Applications, 2023
  4. A case for FPGA-based accelerators for energy-efficient motion picture video processing
    Jason Boyle, and Shreejith Shanker
    In Applications of Digital Image Processing XLVI, 2023
  5. Custom precision accelerators for energy-efficient image-to-image transformations in motion picture workflows
    Emmet Murphy, Shashwat Khandelwal, and Shanker Shreejith
    In Applications of Digital Image Processing XLV, 2023
  6. Exploring Lightweight Federated Learning for Distributed Load Forecasting
    Abhishek Duttagupta, Jin Zhao, and Shanker Shreejith
    In IEEE International Conference on Communications, Control, and Computing Technologies for Smart Grids , 2023

2022

  1. Deep Learning-based Embedded Intrusion Detection System for Automotive CAN
    Shashwat Khandelwal, Eashan Wadhwa, and Shanker Shreejith
    In IEEE International Conference on Application-specific Systems, Architectures and Processors, 2022
  2. IMEC: A Memory-Efficient Convolution Algorithm For Quantised Neural Network Accelerators
    Eashan Wadhwa, Shashwat Khandelwal, and Shanker Shreejith
    In IEEE International Conference on Application-specific Systems, Architectures and Processors, 2022
  3. A Lightweight Multi-Attack CAN Intrusion Detection System on Hybrid FPGAs
    Shashwat Khandelwal, and Shanker Shreejith
    In International Conference on Field Programmable Logic and Applications (FPL), 2022
  4. FPGA-based Deep-Learning Accelerators for Energy Efficient Motor Imagery EEG classification
    Daniel Flood, Neethu Robinson, and Shanker Shreejith
    In International Conference on Omni-Layer Intelligent Systems (COINS), 2022
  5. A Lightweight FPGA-based IDS-ECU Architecture for Automotive CAN
    Shashwat Khandelwal, and Shanker Shreejith
    In 2022 International Conference on Field-Programmable Technology (ICFPT), 2022

2021

  1. Heterogeneous communication virtualization for distributed embedded applications
    Thinh H Pham, Shanker Shreejith, Sebastian Steinhorst, and 2 more authors
    In 2021 24th Euromicro Conference on Digital System Design (DSD), 2021

2020

  1. An adaptive energy detection scheme with real-time noise variance estimation
    Libin K Mathew, Shreejith Shanker, A Prasad Vinod, and 1 more author
    Circuits, Systems, and Signal Processing, 2020
  2. Decoric: Decentralized connected resilient iot clustering
    Nitin Shivaraman, Saravanan Ramanathan, Shreejith Shanker, and 2 more authors
    In 2020 29th International Conference on Computer Communications and Networks (ICCCN), 2020
  3. A power-efficient spectrum-sensing scheme using 1-Bit quantizer and modified filter banks
    Libin K Mathew, Shreejith Shanker, A Prasad Vinod, and 1 more author
    IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2020
  4. Blockchain, what time is it? Trustless Datetime Synchronization for IoT
    Emanuel Regnath, Nitin Shivaraman, Shanker Shreejith, and 2 more authors
    In International Conference on Omni-layer Intelligent Systems (COINS), 2020
  5. Build automation and runtime abstraction for partial reconfiguration on Xilinx Zynq Ultrascale+
    Alex R Bucknall, Shanker Shreejith, and Suhaib A Fahmy
    In 2020 International Conference on Field-Programmable Technology (ICFPT), 2020

2019

  1. Network Enabled Partial Reconfiguration for Distributed FPGA Edge Acceleration
    Alex R Bucknall, Shanker Shreejith, and Suhaib A Fahmy
    In 2019 International Conference on Field-Programmable Technology (ICFPT), 2019

2018

  1. Efficient spectrum sensing for aeronautical LDACS using low-power correlators
    Shanker Shreejith, Libin K Mathew, Vinod A Prasad, and 1 more author
    IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2018
  2. A smart network interface approach for distributed applications on Xilinx Zynq SoCs
    Shanker Shreejith, Ryan A Cooke, and Suhaib A Fahmy
    In 2018 28th International Conference on Field Programmable Logic and Applications (FPL), 2018
  3. Smart network interfaces for advanced automotive applications
    Shanker Shreejith, and Suhaib A Fahmy
    IEEE Micro, 2018

2017

  1. Fracturable DSP block for multi-context reconfigurable architectures
    Rakesh Warrier, Shanker Shreejith, Wei Zhang, and 2 more authors
    Circuits, Systems, and Signal Processing, 2017
  2. VEGa: A high performance vehicular Ethernet gateway on hybrid FPGA
    Shanker Shreejith, Philipp Mundhenk, Andreas Ettner, and 4 more authors
    IEEE Transactions on Computers, 2017
  3. Efficient FPGA Implementation of a Variable Digital Filter based Spectrum Sensing Scheme for Cognitive IoT Systems
    A. Ambede, S. Shreejith, and A.P Vinod
    In Global IoT Summit, 2017

2016

  1. Accelerated artificial neural networks on FPGA for fault detection in automotive systems
    Shanker Shreejith, Bezborah Anshuman, and Suhaib A Fahmy
    In 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2016
  2. Enhancing Automotive Embedded Systems with FPGAs
    Shreejith Shanker
    Nanyang Technological University, Singapore., 2016
  3. A power and time efficient radio architecture for LDACS1 air-to-ground communication
    Shanker Shreejith, Abhishek Ambede, AP Vinod, and 1 more author
    In IEEE/ AIAA Digital Avionics Systems Conference (DASC), 2016

2015

  1. Extensible FlexRay Communication Controller for FPGA-Based Automotive Systems
    S Shreejith, and S. A Fahmy
    Transactions on Vehicular Technology, 2015
  2. Dynamic Cognitive Radios on the Xilinx Zynq Hybrid FPGA
    Shanker Shreejith, Bhaskar Banarjee, Kizheppatt Vipin, and 1 more author
    In International Conference on Cognitive Radio Oriented Wireless Networks (CROWNCOM), Doha, Qatar, 2015
  3. Security Aware Network Controllers for Next Generation Automotive Embedded Systems
    Shanker Shreejith, and Suhaib A. Fahmy
    In Proceedings of the 52nd Design Automation Conference (DAC), 2015
  4. Design and Realization of Variable Digital Filters for Software Defined Radio Channelizers using Improved Coefficient Decimation Method
    A. Ambede, S. Shreejith, A.P. Vinod, and 1 more author
    IEEE Transactions on Circuits and Systems II:, 2015
  5. Virtualized FPGA accelerators for efficient cloud computing
    Suhaib A Fahmy, Kizheppatt Vipin, and Shanker Shreejith
    In 2015 IEEE 7th International Conference on Cloud Computing Technology and Science (CloudCom), 2015

2014

  1. Mapping time-critical safety-critical cyber physical systems to hybrid FPGAs
    Kizheppatt Vipin, Shanker Shreejith, Suhaib A Fahmy, and 1 more author
    In 2014 IEEE International Conference on Cyber-Physical Systems, Networks, and Applications, 2014
  2. System simulation and optimization using reconfigurable hardware
    Martin Lukasiewycz, Shanker Shreejith, and Suhaib A Fahmy
    In 2014 International Symposium on Integrated Circuits (ISIC), 2014
  3. Zero latency encryption with FPGAs for secure time-triggered automotive networks
    Shanker Shreejith, and Suhaib A Fahmy
    In 2014 International Conference on Field-Programmable Technology (FPT), 2014

2013

  1. An approach for redundancy in FlexRay networks using FPGA partial reconfiguration
    Shanker Shreejith, Kizhepatt Vipin, Suhaib A Fahmy, and 1 more author
    In 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013
  2. Reconfigurable Computing in Next Generation Automotive Networks
    Shanker Shreejith, Suhaib A Fahmy, and Martin Lukasiewycz
    Embedded Systems Letters, 2013
  3. System architecture and software design for electric vehicles
    Martin Lukasiewycz, Sebastian Steinhorst, Sidharta Andalam, and 8 more authors
    In Proceedings of the 50th Annual Design Automation Conference, 2013
  4. Accelerating Validation of Time-Triggered Automotive Systems on FPGAs
    Shanker Shreejith, Suhaib A Fahmy, and Martin Lukasiewycz
    In International Conference on Field Programmable Technology (ICFPT), 2013
  5. System-Level FPGA Device Driver with High-Level Synthesis Support
    Kizheppatt Vipin, Shanker Shreejith, Dulitha Gunasekera, and 2 more authors
    In International Conference on Field Programmable Technology (ICFPT), 2013
  6. Enhancing Communication On Automotive Networks Using Data Layer Extensions
    Shanker Shreejith, and Suhaib A Fahmy
    In International Conference on Field Programmable Technology (ICFPT), 2013